// 加算回路シミュレーション記述 `timescale 1ns/1ns module test0; reg [3:0] a, b; wire [4:0] q; parameter STEP = 10; // 加算演算子による4ビット加算回路 adder adder( a, b, q ); initial begin a = 4'h0; b = 4'h0; #STEP a = 4'h0; b = 4'h1; #STEP a = 4'h0; b = 4'h2; #STEP a = 4'h1; b = 4'h2; #STEP a = 4'h3; b = 4'h4; #STEP a = 4'h5; b = 4'h6; #STEP a = 4'ha; b = 4'h1; #STEP a = 4'hb; b = 4'h4; #STEP a = 4'hb; b = 4'h5; #STEP $finish; end initial $monitor( $stime, " a=%h b=%h q=%h ", a, b, q ); endmodule