/* ゲート回路シミュレーション記述 記述した回路をテストするためのシミュレーション記述を用意する。 以下の例では、モジュール gate_ex を呼び出し、その入力信号を変化させて 出力信号を確認できる。 */ `timescale 1ns/1ns module gate_tp; reg in0, in1, in2; parameter STEP = 100; // 論理式によるゲート gate_ex gate_ex( in0, in1, in2, out_not, out_and2, out_or3 ); initial begin #0 in0 = 0; in1 = 0; in2 = 0; #STEP in0 = 1; in1 = 0; in2 = 0; #STEP in0 = 0; in1 = 1; in2 = 0; #STEP in0 = 1; in1 = 1; in2 = 0; #STEP in0 = 0; in1 = 0; in2 = 1; #STEP in0 = 1; in1 = 0; in2 = 1; #STEP in0 = 0; in1 = 1; in2 = 1; #STEP in0 = 1; in1 = 1; in2 = 1; #STEP $finish; end initial $monitor( $stime, " in0=%b in1=%b in2=%b not=%b and2=%b or3=%b", in0, in1, in2, out_not, out_and2, out_or3 ); endmodule